IDE profissional VHDL? [fechado]

Existe uma boa IDE para trabalhar com projectos VHDL ? Ou a maioria dos profissionais trabalha com o emacs/vim/notepad++ ?

 26
Author: Martin Thompson, 2010-05-20

15 answers

USO o modo Emacs+VHDL, o que é óptimo se não se importar (ou já tiver escalado) com a curva de aprendizagem do Emacs.

Alternativamente, você pode tentarSigasi-HDT que é baseado no Eclipse e tem mais GUI. E algumas ferramentas de refactoração mais poderosas, pelo aspecto.

 28
Author: Martin Thompson, 2010-05-20 13:10:53
Tenho usado Sigasi HDT desde que foi lançado em janeiro (acho) e estou muito satisfeito com isso até agora. Anteriormente eu usei Vim para toda a minha edição (C ou VHDL), mas desde que eu mudei para Sigasi eu não olhei para trás. O plugin baseado no Eclipse é muito mais do que apenas realce de sintaxe. A auto-conclusão de componentes e Entidades é um economizador de tempo real e você evita um monte de erros. Você pode baixar um teste gratuito a partir de seu site ( http://www.sigasi.com e eu encorajo você para tentar com o glib ([[4]} http://www.gaisler.com ) para ver o poder real do plugin.
 15
Author: trondd, 2014-01-03 17:36:55

Talvez Xilinx ISE ou Altera Quartus.

Uma vez tentei - pelo menos eu queria tentar - alterar Quartus, mas logo mudei para um editor normal e tomei GHDL para simulação.

Mas não sou profissional, por isso devem estar a usar alguns dos dois produtos anteriores.
 10
Author: phimuemue, 2010-05-20 12:20:03
Os Engenheiros das grandes empresas de semicondutores estão a usar emacs / vim para edição de código. Ambiente de desenvolvimento integrado não faz muito sentido lá, uma vez que um projeto é alguns milhares de arquivos HDL tomadas de diferentes lugares em uma rede *NIX, configurar scripts para uma dúzia de ferramentas diferentes, e trabalhos de cron para fazer compilações freqüentes. Para projectos mais simples do FPGA desenvolvidos no Windows, já vi pessoas a usar o Borland CodeWright, o UltraEdit e o Crimson Editor.
 8
Author: OutputLogic, 2010-05-22 20:01:20
A minha melhor aposta é usar a VIM para fazer o que for preciso para a formatação VHDL. VIM tem uma facilidade extremamente poderosa para desenvolver plugins personalizados, e nenhuma quantidade de IDE hopping vai dar-lhe toda a personalização que você iria precisar.

Coisas como nomes de sinais Dito isto, talvez queiras ver o que o Sigasi tem para oferecer. Se você precisar de mais ajuda nisto, deixe-me saber -- seria divertido codificar um plugin VIM.

 5
Author: Fanatic23, 2010-06-05 13:51:00

Emacs + modo VHDL + um compilador / simulador + controlo de origem.

O modo VHDL está configurado para usar o compilador para compilar o desenho e executar opcionalmente a simulação.

O Emacs pode ligar-se ao controlo de origem para verificar correctamente, diferenças e marcas.

Eu pessoalmente gosto desta configuração porque o editor é open source e eu posso usá-lo em qualquer lugar (Mac, Linux, Windows). O compilador / simulador pode ser qualquer coisa a partir de um download gratuito (FPGA patrocinado pelo fornecedor Modelsim ou Para um motor de grelha. Uma vez montado, torna-se praticamente invisível.

 4
Author: George, 2010-09-09 12:30:17

Simplifide também tem um plugin eclipse que suporta VHDL, Verilog e SystemVerilog que contém todas as características padrão IDE e muito mais.

Pode ser baixado em http://simplifide.com

 4
Author: Andy Wagner, 2010-10-28 21:00:48
Só estou a usar o Scite, como na maioria dos outros códigos. Algumas coisas no Scite tornam o meu código VHDL agradável.
    A coloração é fácil de configurar. Ou descarregas um pronto .ficheiro de Propriedades ou modificar o modo Ada.
  1. O Scite tem um 'plugin' de excertos que é muito útil para o VHDL. Todas essas definições aborrecidas de processo e arquitetura são geradas automaticamente para mim com um par de teclas pressionadas.
  2. Scite é fácil de personalizar com scripts externos: eu tenho scripts para compilar o arquivo atual, para corrigir indentação, para listar todos os processos e assim por diante, as possibilidades são ilimitadas.
 3
Author: Eli Bendersky, 2010-08-16 05:43:58

Tenho usado o Notepad++ juntamente com as ferramentas do Fornecedor livre para editar o meu VHDL no Windows.

 3
Author: Nate, 2011-06-15 01:57:28

Além dos grandes Sigasi e Simplifide há também ZamiaCad uma IDE VHDL livre: http://zamiacad.sourceforge.net/web/

 3
Author: deepsubmicron, 2013-04-07 12:06:27
Depende do tipo de projecto que está a desenvolver. Modelsim fornece um bom IDE, mas o editor de código não tem as características avançadas que estamos acostumados a usar. Eu uso VIM para escrever o código, e Modelsim para simular, depurar etc...
 2
Author: mp., 2010-06-03 12:33:17

Há outro VHDL-IDE, é um plug-in para o Visual Studio, mas tanto quanto eu pude ver, ele também está disponível como versão autônoma (ou seja, você não precisa de uma licença Visual Studio). Parece relativamente novo, mas até agora eu realmente gosto.

Http://www.vide-software.at

 2
Author: HuberCoder, 2014-02-16 18:08:21

Eu mudei para usar Vim porque ele tem uma lista muito grande de plugins para quase qualquer idioma (eu acredito que Emacs também). Você pode não ter o melhor IDE VHDL de sempre com ele, mas você tem uma interface familiar para cada código e não-código que você deve editar. Além disso, à medida que se muda entre empresas, pode levar o seu ambiente consigo.

Para os que usam Vim, verifique vim-hdl . É um plugin em que estou trabalhando que fornece verificação de sintaxe com base em simuladores/Compiladores. Estou a usar diariamente com o ModelSim e até agora funciona bem.

 1
Author: suoto, 2015-12-05 00:50:23
O meu voto é Emacs com a linguagem apropriada. Edição básica é fácil o suficiente para aprender e há um monte de arquivos de configuração exemplo lá fora. Sergio.
 0
Author: lsf_design, 2015-04-17 16:08:15
Estou a usar o Xilinx ISE para fins educacionais, funciona muito bem. E tem tudo. Depois de se candidatar a uma licença de estudante, a versão estudantil é gratuita de usar, mas ocupa muito espaço. O arquivo de instalação sozinho é mais de 6 gb. O livro que usamos para a escola refere-se a propriedades especiais xilinx. ("FGPA Prototyping by VHDL Examples"). Eu também estou programando em um nexsys 3 spartan 6 testboard, e eu vou estar usando xilinx por mais um ano, mas quando eu terminar o curso eu sou vou procurar algo mais pequeno. Fico feliz em ler sobre as alternativas leves.
 0
Author: Thomas, 2015-08-07 11:35:58